Hem Framåt tänkande Är 450 mm skivor framtiden för chiptillverkning?

Är 450 mm skivor framtiden för chiptillverkning?

Video: Vad ska vi jobba med i framtiden? - Magnus Stenlund om artificiell intelligens i Swebbtv Vetenskap. (September 2024)

Video: Vad ska vi jobba med i framtiden? - Magnus Stenlund om artificiell intelligens i Swebbtv Vetenskap. (September 2024)
Anonim

Bakom alla nya prylar och alla coola applikationer vi kör ligger processorer, minne och andra komponenter som gör att systemen fungerar. Och bakom allt detta ligger halvledar-processteknik - det komplexa utbudet av mönster, verktyg, material och bearbetningssteg som krävs för att bygga fungerande transistorer så små att 4 000 av dem kan passa över människohårets bredd och sätta samman miljarder av dem i ett chip inte större än nageln.

Baserat på Semicon West förra veckan, den årliga showen som fokuserar på processtekniken i motsats till processorerna eller slutanvändarenheterna, verkar det som om hela branschen är redo att flytta ny produktion till 450 mm skivor med början under de kommande fem åren.

Idag tillverkas praktiskt taget alla viktiga processorer och minne på 300 mm skivor, ungefär 12 tum. Men de största chipmakarna har talat i flera år om att byta till 450 mm skivteknologi - skivor cirka 18 tum över - eftersom dessa större skivor kan rymma mer än dubbelt så många chips, men förhoppningsvis kommer att kosta betydligt mindre än dubbelt så mycket som 300 mm tillverkning. Tills nyligen har många av leverantörerna av utrustning dragit sina fötter eftersom det sista stora steget från 200 mm till 300 mm slutade kosta dem mycket för forskning och utveckling med relativt lite att visa för det. Men nu verkar det som om nästan alla kommer ombord med idén.

På konferensen visade Paul A. Farrar, chef för Global 450 Consortium, en grupp av de ledande tillverkarna av halvledarprodukter inklusive GlobalFoundries, Intel, IBM, Samsung och TSMC med huvudkontor runt College of Nanoscale Science and Engineering i Albany. färdplan som inkluderade 450 mm demonstrationer på 14nm 2013-2015 med utrustningen redo för chiptillverkare vid 10nm och därefter 2015-2016.

Alla de stora tillverkarna diskuterade 450 mm verktyg. Nikon sa att den har fått en beställning från G450 Consortium för en 450mm 193nm ArF-fördjupningsskanner som ska användas för processutveckling, och sa att den också har fått en beställning från en namngiven "större enhetstillverkare." ASML sa att det kommer att leverera 450 mm extrem ultraviolett litografi (EUV) och nedsänkningsverktyg ungefär samtidigt. Canon visade vad den sade är den första optiskt mönstrade 450 mm skivan, medan Molecular Imprints visade resultat för en 450 mm skiva mönstrad med sin nano-avtryckslitografi.

En sak som verkar driva denna övergång är de ökande kostnaderna för tillverkning vid mindre noder. Även om industrin har pratat om EUV-litografi i flera år och ASML i synnerhet har citerat förbättringar är detta fortfarande inte klart för produktion, eftersom de nuvarande verktygen inte tillåter den hastighet och volym som tillverkarna behöver, delvis på grund av problem med strömkällan. ASML säger att det nu har 11 EUV-system i fältet och har planer för en ny generation verktyg med bättre kraftkällor, men ingen tillverkar fullskalig tillverkning med EUV eftersom verktygen inte är tillräckligt snabba och pålitliga.

Istället använder tillverkarna de nuvarande 193nm-nedsänkningsverktygen, och vid 20 nm och nedan tvingas de att använda verktygen två gånger på kritiska lager av skivan för att få den precision de behöver. Denna dubbla mönster - och potentiellt fyrmönster - lägger till tid och kostnad för skivtillverkningen.

Som GlobalFoundries verkställande direktör Ajit Manocha noterade i en nyckel börjar kostnaden för litografi redan dominera de totala tillverkningskostnaderna för rån. Med flera mönster på immersionsskannrar blir detta ännu värre. "Vi behöver desperat EUV och EUV är fortfarande inte redo, " sade han.

Inom andra områden talade Manocha om behovet av gjuteriinnovation i rörlighetstiden, och diskuterade allt från företagets 14XM FinFET-process till andra tekniker som FD-SOI, nanotrådar och III-V sammansatta halvledare (i huvudsak chips som använder mer exotiska material). Intressant nog nämnde han en möjlig övergång till III-V FinFETs under 2017 för 7nm, även om det inte låter som ett specifikt åtagande.

Han sa att de största utmaningarna för branschen är ekonomiska. Vid noden 180nm fanns det bara 15 maskskikt; vid 20nm / 14nm-noderna finns det mer än 60 maskskikt, och varje lager erbjuder fler möjligheter till misslyckande, varav någon kan göra en hel skiva oanvändbar. "Allt detta verkligen, verkligen lägger till, " sade han och visade hur kostnaden för chipdesign vid 130 nm (vilket var vanligt i framkanten för ett decennium sedan, och fortfarande används av några bakkantiga chips), var $ 15 miljoner; vid 20nm är det 150 miljoner dollar. På samma sätt har kostnaden för processdesign ökat från 250 miljoner dollar till 1, 3 miljarder dollar, och fab för att tillverka chipet har ökat från 1, 45 miljarder dollar till cirka 6, 7 ​​miljarder dollar idag.

För att bekämpa detta talar andra verktygsförsäljare om tekniker utöver litografi, såsom chipstackning med genom-kiselvias (TSV) som är utformade för att producera flerskikt av flis; och nya verktyg för avsättning och borttagning av material. Företag inklusive Applied Materials, LAM Research, Tokyo Electron och KLA-Tencor driver sina lösningar.

I andra nyheter från showen talade Karen Savala, president för SEMI Americas, om "renässansen" i USA: s tillverkning och rollen som halvledarindustrin och sa att branschen nu står för 245 000 direkta jobb och ungefär en miljon totalt jobb i USA: s leveranskedja.

SEMI räknar med att utgifterna för utrustning kommer att minska något i år, följt av en ökning med 21 procent nästa år, främst på grund av fortsatt gjuteriutgifter för 20 nm-tillverkning, nya NAND-flashfabriker som ramping och Intels uppgradering av sin fab i Irland.

Är 450 mm skivor framtiden för chiptillverkning?