Hem Framåt tänkande Hur ett chip görs: besöka globala grundar

Hur ett chip görs: besöka globala grundar

Innehållsförteckning:

Video: Chip Manufacturing - How are Microchips made? | Infineon (September 2024)

Video: Chip Manufacturing - How are Microchips made? | Infineon (September 2024)
Anonim

Jag är alltid fascinerad av att lära mig om vad som verkligen krävs för att göra enheterna som vi tar för givet, och ingen process som jag känner till är lika komplex, komplicerad eller viktig som att göra processorer som driver telefoner, datorer och servrar som driver vårt dagliga liv. Så jag hoppade på chansen att besöka GlobalFoundries ledande fabrik i Malta, New York, för att se hur chipfabrikationsanläggningen (eller fab) har utvecklats under de senaste åren.

Det är en fantastisk process - fab innehåller över 1400 avancerade verktyg för att göra chips, alla anslutna ihop, och att göra en typisk skiva som innehåller chips kan ta upp till sex månader. Jag blev mycket imponerad av den ständigt ökande komplexiteten i denna process och den extraordinära precision som krävs för att göra de chips som vi alla använder.

Jag har besökt fabriken - som kallas Fab 8 - tidigare, när den var under uppbyggnad och när den just börjat producera sina första produkter: processorer designade för processnoderna 32nm eller 28nm.

Anläggningen ligger på en intressant plats: Luther Forest Technology Campus på Malta, ungefär en halvtimme norr om Albany. I åratal har delstaten New York pressat på för att få mer teknik till regionen, med ansträngningar inklusive stöd för SUNY Polytechnic Institute Colleges of Nanoscale Science and Engineering (CNSE) och Albany Nanotech Complex, ett av världens mest avancerade chip forskningsanläggningar, som inkluderar representanter från GlobalFoundries, Samsung, IBM, många forskningsuniversitet och alla ledande tillverkare av chiptillverkningsverktyg. AMD hade skrivit på för att bygga en fabrik på komplexet; när AMD delade upp sin chiptillverkning för att bli GlobalFoundries 2009 (nu helägt av Abu Dhabis Mubadala Investment Company) byggde det nya företaget fabriken.

Vid mitt senaste besök för nästan sex år sedan var den första fasen - som inkluderade ett 210 000 kvadratmeter stort rum för verklig tillverkning - bara igång och gjorde tidig produktion, medan fas 2, med ytterligare 90 000 kvadratmeter, var under uppbyggnad. Det var 1 300 personer på plats, men relativt få produkter tillverkades vid den tiden.

(Bild från GlobalFoundries)

Idag är de första två faserna en enda 300 000 kvadratmeter renrum (300 fot bred och 1000 fot lång) och ytterligare 160 000 kvadratmeter fas 3 är också i full drift. Jag såg massor av aktivitet och många kiselskivor fyllda med chips producerades.

Tom Caulfield, SVP & General Manager för Fab 8, betonade att GlobalFoundries hade investerat mycket mer i statliga New York än dess ursprungliga åtagande. När fab-planen först planerades, åtog sig företaget en investering på 3, 2 miljarder dollar och ett direkt antal personer på 1 200 personer för en årlig lön på 72 miljoner dollar. Nu, sade han, har företaget faktiskt investerat mer än 12 miljarder dollar och har cirka 3 300 anställda och en årlig lönelista på 345 miljoner dollar. Och det räknar inte ens de 500 till 700 andra individer som arbetar på fab men utan är anställda av andra enheter, konstaterade han, till exempel tekniker som arbetar för verktygsförsäljare som ASML, Applied Materials eller LAM Research.

GlobalFoundries driver också det som nu kallas Fab 9 i Burlington, Vermont och Fab 10 i East Fishkill, New York, som är äldre fabriker som det förvärvade av IBM. Företaget har också stora fabs i Dresden, Tyskland, där det arbetar med sin FDX-kisel-på-isolatorprocess; Chengdu, Kina; och i Singapore. Sammantaget säger företaget att det har mer än 250 kunder.

Caulfield sa att fab är en enda källa för AMD: s Ryzen-processorer, Radeon GPUs och Epyc-serverchips, men också har dussintals andra kunder.

GlobalFoundries är ett av fyra företag som tillverkar ledande logikchips. De andra är Intel, som främst tillverkar chips för eget bruk; Taiwan Semiconductor Manufacturing Corp (TSMC), det banbrytande chip-gjuteriet, som gör chips för många olika kunder och är GlobalFoundries huvudkonkurrens; och Samsung, som gör lite av båda.

Inuti fabriken

Vid detta besök fick jag och flera andra journalister en rundtur i anläggningen och fick höra om hur chips tillverkas. I stället för att börja med renrummet där chips faktiskt tillverkas, började turnén i "sub-fab", det stora området under cleanroom som hanterar utrustningen som behövs för att köra verktygen som gör chips. Detta inkluderar de elektriska, mekaniska, vatten- och kemiska hanteringssystemen.

John Painter, Senior Director of Facility, som gav en rundtur i detta område, förklarade att hela webbplatsen innehåller över 70 000 utrustningar, varav mycket stödjer de mindre verktygen för chiptillverkning i renrummet. Nästan alla dessa verktyg behöver kylas, och de fungerar alla bättre i förutsägbara temperaturer, under vissa luftfuktighets- och tryckförhållanden, så betydande ansträngningar läggs på för att kontrollera miljön. Detta görs mer komplicerat eftersom verktyg kontinuerligt uppdateras, med vissa flyttar in och andra från anläggningen. Målaren förklarade att det i allmänhet tar sex gånger så mycket utrymme för stödutrustningen som för renrummet.

Vi såg områden som bearbetar det kylda renade vattnet som används vid tillverkningen och kemiska uppslamningar för saker som polering av skivorna. Fabern har komplexa anläggningar som övervakar och kontrollerar dessa system - som kan mäta saker i delar per biljon, så att de kan upptäcka eventuella läckor i systemet - såväl som ett sofistikerat livssäkerhetssystem. Underfabriken har ett tak på 30 fot och fas 2-området har en mezzanin för att göra det lättare för tekniker att nå all utrustning. Detta golv innehåller många separata områden med enskilda utrustningar (från lagringsutrymmen för vatten och kemikalier till övervakningssystem), med miles av rörledningar som ansluter till renrummet ovan. Jag noterade att mycket av rörledningen faktiskt fördubblats, med sensorer i rören för att upptäcka om det fanns en läcka.

Det finns också ett antal andra byggnader på plats, inklusive en central nybyggnad med större pannor och kylare, bulkavfallssystem, etc.

Som helhet använder fabriken 80 megawatt effekt, som levereras med dubbla 150 000 volt-linjer. Det är kritiskt att strömmen är kontinuerlig, eftersom alla variationer kan störa tillverkningen och eventuellt skada skivorna som bearbetas. Därför har anläggningen ett backup-UPS-system, svänghjul och en dieselgenerator.

Jag var särskilt intresserad av hur mycket utrymme som krävs av den nya EUV-utrustningen (som jag kommer att diskutera senare). Även på undergolvet kräver denna utrustning ett massivt område, inklusive sitt eget miniatyrrengöringsrum, där verktyg ger en högintensiv laserljuskälla, som böjs genom golvet till EUV-verktyget i renrummet. EUV-systemet krävde självt nya kyl- och elektriska system, tillsammans med ultrat rent vatten och specialtankar och rörledningar som minskar partikelföroreningar.

För att få EUV-systemet in i byggnaden förseglades huvudfabriken först. En kran på 10 ton installerades i taket, och sedan skars ett hål i byggnadens sida för att flytta det massiva nya systemet inuti. Denna process hjälpte delvis av ett 3D-datordesignsystem som använde skannade bilder som fångade placeringen av befintlig utrustning ner till millimeternivån.

Upp till Cleanroom

(Bild från GlobalFoundries)

För att besöka själva renrummet var vi tvungna att klä oss i "bunny suit" (se min bild högst upp i detta inlägg), utformade för att minska antalet partiklar i området och risken att en sådan partikel kan störa skivan bearbetning.

En sak som jag märkte är att även om det finns massor av maskiner på renrumsgolvet - mer än 1 400 enligt GlobalFoundries - så finns det inte så många människor.

Christopher Belfi, en huvudingenjör för tillverkningsverksamhet, som gav oss rundtur i renrummet, förklarade att målet är att ha nolloperatörer på golvet. De enda du ser är antingen att installera eller underhålla verktygen, sa Belfi.

(Bild från GlobalFoundries)

Istället för att tekniker flyttar skivor från ett verktyg till ett annat, dirigeras skivorna mellan verktygen via Front-Opening Unified Pods, eller FOUP-skivor som de kallar dem, var och en har 25 skivor - och du kan se dessa flytta över huvudet i renrummet. Totalt finns det 550 fordon på 14 miles av spår som rör sig och lagrar skivor mellan verktyg. Dessa flyttar också retiklar (chipmaskerna som styr ljuset för varje lager av chiptillverkning) mellan en central lagringsanläggning till de verktyg där de kommer att användas. Detta minskar inte antalet personer som krävs, sade Belfi, eftersom verktygen fortfarande behöver kontrolleras, men minskar tid och fel. Han konstaterade att dussintals produkter vid en viss tidpunkt befinner sig i olika tillverkningsstadier, för flera dussin kunder, och varje produkt har sin egen uppsättning av retiklar och sin egen specifika process som använder olika verktyg. Belfi kallade Fab 8 "världens mest automatiserade fab." Naturligtvis är det också en av de nyaste.

Några av fablarna har gult ljus, eftersom det vid en tidpunkt i tillverkningsprocessens historia var viktigt att se till att skivan inte utsattes för normalt ljus. Men i dessa dagar exponeras skivorna inte för yttre ljus alls, så det är mindre nödvändigt.

Det finns många steg med att göra en skiva, och var och en har sitt eget område i renrummet: implantat (lägga till joner till kisel), kemisk mekanisk planarisering eller CMP (polering av skivan), diffusion, tunnfilmsavlagring, litografi och etsa. Metrologiverktyg som används för att mäta chipfunktioner vid varje steg på vägen finns i hela fab.

Vi tenderar att prata mest om litografi (som hänvisar till att använda ljus för att exponera ett mönster på skivan), eftersom det är detta som har blivit det mest komplicerade steget under de senaste åren. Den nuvarande tekniken, som innebär att använda 193nm ljus i en vätska (känd som nedsänkningslitografi), är inte längre bra nog för att skapa de minsta elementen i ett chip i en enda pass, så för noder som 14 nm och 7 nm, flera exponeringar (ibland kallas dubbelmönster eller till och med fyrmönster) krävs. Extrem ultraviolett eller EUV är ett mer komplicerat alternativ, men ett som kan vara nödvändigt om vi ska fortsätta att få mindre funktioner på chips, och GlobalFoundries håller på att installera två av dessa EUV-maskiner, med plats för ytterligare två. (Jag kommer att ha mer information i nästa inlägg.) Eftersom det inte är klart, för tillfället är alla chips tillverkade på GlobalFoundries (och faktiskt alla kommersiella chips jag känner till gjort någonstans) producerade med nedsänkningslitografi. Men alla stegen är avgörande, och alla fel i något steg kommer sannolikt att göra chips på skivan värdelös.

Totalt kan ett aktuellt chip involvera upp till 80 lager och ännu fler steg när skivor passerar mellan de olika stegen i processen, särskilt när de går fram och tillbaka mellan litografi och etsning i varje flermönstersteg (det kan ta månader för att producera ett typiskt high-end-chip). Det är en fascinerande process och jag är glad att jag fick se första hand.

I mitt nästa inlägg fokuserar jag mer på EUV-utrustningen som nyligen installerades på fabriken samt på GlobalFoundries planer för framtida steg i chiptillverkningsprocessen.

Är du nyfiken på din bredbandsinternet hastighet? Testa det nu!
Hur ett chip görs: besöka globala grundar