Hem Framåt tänkande Euvs löften och utmaningar på globala grundar

Euvs löften och utmaningar på globala grundar

Innehållsförteckning:

Video: GLOBALFOUNDRIES Sand to Silicon (September 2024)

Video: GLOBALFOUNDRIES Sand to Silicon (September 2024)
Anonim

En av anledningarna till att jag var så fascinerad av att besöka GlobalFoundries tidigare denna månad var för möjligheten att se en EUV-litografimaskin på plats och att höra om hur företaget planerar att använda den.

För inte så länge sedan hade jag en chans att besöka en fabrik i Connecticut där ASML bygger många av komponenterna för en sådan EUV-maskin. Dessa enorma verktyg använder extremt ultraviolett (EUV) ljus som lyste genom en mask för att avgränsa linjerna för mycket små funktioner i chips, och är några av de mest komplexa maskinerna i världen. De är utformade för att ta platsen för de nu standarddämpande litografimaskiner som använder ljus med en våglängd 193nm i vissa lager av chiptillverkningsprocessen.

För att sammanfatta är en EUV-maskin oerhört komplicerad. Som George Gomba, vice ordförande för teknologiforskning för GlobalFoundries förklarade det, börjar processen med en 27-kilowatt CO2-laser som avfyras genom ett stråltransport- och fokuseringssystem på små tindroppar (cirka 20 mikron i diameter) som produceras av en droppgenerator i ett plasmakärl. Den första pulsen plattar droppen och den andra förångar den och skapar laserproducerad plasma (LPP). EUV-fotoner som släpps ut från plasma samlas in av en speciell spegel som reflekterar 13, 5 nm våglängdsljus och att strålning överförs till en mellanliggande fokuspunkt där den kommer in i skannern och projiceras genom en mask på kiselskivan. Gomba, som arbetar från Albany Nanotech-anläggningen, sa att han har arbetat med förproduktion av EUV-system sedan 2013, och förväntar sig nu att EUV ska vara i full produktion på GlobalFoundries under andra hälften av 2019.

Dessa verktyg är så komplexa att de kräver månader av arbete bara för att göra dem redo att starta produktion. På företagets Fab 8 på Malta, New York, såg jag de två första EUV-verktygen som har installerats; det ena är nästan komplett och det andra är i processproduktion, och det finns fortfarande plats för två till.

Att få EUV-verktyg i själva byggnaden var en komplex operation. Huvudfabriken förseglades först; sedan installerades en kran i taket och ett hål skärs in i byggnadens sida för att flytta det massiva nya systemet inuti. Då måste det naturligtvis anslutas till de andra verktygen på fabriken. Detta involverade arbete både i underfabriken, som måste konfigureras för källverktyget som skapar lasern som används i processen såväl som i själva renrummet. Allt måste göras samtidigt som resten av fab-enheten körs i full fart.

Tom Caulfield, SVP och chef för Fab 8, jämförde detta med "att göra hjärtkirurgi medan du kör en maraton."

EUV: s status - och vad som fortfarande behöver lösas

Gary Patton, CTO & SVP för World R & D för GlobalFoundries, sade att 7nm kommer att vara i riskproduktion vid Fab 8 i år, och full produktion nästa år, med användning av nedsänkningslitografi och fyrkantiga mönster, men inte EUV. Multi-patterning tar längre tid eftersom det innebär fler steg, och problem kan uppstå på grund av den mycket exakta anpassningen som behövs vid varje steg, men dessa litografiska verktyg är vanliga, väl förståda och redo idag. Planen är att senare erbjuda en version av 7nm-processen med de nya EUV-verktygen.

EUV är "inte redo idag", sade Patton och citerade problem med källkraften, motstå material och maskerna, särskilt med utveckling av rätt pellikel (en tunn film som går över masken eller retikeln.)

För närvarande är EUV-maskiner inte lika snabba, med en ingenjör som förklarar att de kan producera cirka 125 skivor per timme, jämfört med cirka 275 skivor per timme för nedsänkningslitografi. De kan faktiskt spara tid, för om processen minskar antalet pass för multimönster, sparar det inte bara steg i litografi, utan också vid etsning och förberedelser. Därför bör EUV faktiskt kosta mindre att köra när det är klart, sa Caulfield.

Gomba noterade att idén inte bara är att minska 3 eller 4 lager optisk litografi, utan också att minska många andra steg, eftersom mellan varje litografisteg finns det också etsning och annan bearbetning på skivan. Målet, sade Gomba, är att minska cykeltiden med upp till 30 dagar.

Crossover-punkten är troligen fyrkantig mönster, men mycket beror på utbytet (vilket borde förbättras, eftersom EUV-litografisteg bör ha mindre variation än flera steg för nedsänkningslitografi) och förbättringar av cykeltiden. EUV bör också göra det möjligt för chipdesigners att arbeta under mycket mindre restriktiva förhållanden.

Men han noterade också att det finns några problem som återstår att lösa, särskilt när det gäller pellikeln. En annan tekniker förklarade att den 13, 5 nm strålning som används av EUV absorberas av nästan allt, så maskinens inre behöver vara ett vakuum. Med EUV går mycket av kraften inte genom retikeln (masken) utan värmer upp den istället. Pellikeln hjälper till att skydda masken, men det måste fortfarande göras arbete för att förbättra mängden ljus som går igenom pellikeln (överföring) såväl som pellikelns livslängd. Detta i sin tur kommer att påverka kapaciteten, liksom livslängden för maskerna och drifttiden för den totala maskinen.

Som ett resultat, sade Patton, kommer företaget initialt att erbjuda en krympning på 7 nm med EUV, som mest kommer att användas för kontakter och vias. Enbart detta kan ge en täthet på 10 till 15 procent utan en stor designinvestering. När problemen löses, sa Patton, kan och kommer EUV att användas i många fler lager. (Joel Hruska från ExtremeTech , som också var på turnén, har mer information här.)

Patton konstaterade att ASML borde få "enorm kredit" för att driva EUV så långt som det har gjort och sa att det är en "otrolig prestation av teknik." På frågan om GlobalFoundries verkligen har åtagit sig att göra EUV, svarade Caulfield att företaget har gjort en investering på 600 miljoner dollar, vilket betyder "måste göra det."

FDX och färdplanen för framtida chipproduktion

I en omfattande diskussion om vart chipframställningen är på väg, förklarade Patton - som tillbringade en lång karriär med chipteknologi för IBM - hur konceptet förändras när vi når slutet av Moore's Law. Han noterade att under de första åren av chiptillverkning handlade det om plan skalning av CMOS av kisel. Sedan, från 2000–2010, vände fokus till nya material; nu fokuserar mycket på 3D-transistorer (FinFET: er som används i de flesta ledande processer idag) och 3D-stapling.

År 2020, sade han, kommer vi att nå gränserna för atomiska dimensioner, så vi kommer att behöva fokusera på andra sätt att innovera, inklusive nya sätt att designa transistorer (till exempel nanotrådar som ersätter FinFETs), nya typer av underlag (som till exempel Fully Depleterad kisel-på-isolatorteknologi GlobalFoundries utvecklar); eller nya nivåer av systemnivåintegration (som avancerad förpackning, kiselfotonik och inbäddat minne).

GlobalFoundries har två färdplaner som det fungerar, sa Patton. Den första är baserad på den nuvarande FinFET-tekniken och är designad för högpresterande enheter. På GlobalFoundries innebär detta att gå från den nuvarande 14nm-processen till en revidering av processen som den kallar 12nm, och senare i år till det den kallar 7nm. Patton sa att detta borde vara bäst lämpat för mobila applikationsprocessorer och högpresterande CPU: er och GPUS, med GlobalFoundries som lovar upp till 40 procent förbättring av enhetens prestanda och en 60 procent minskning av total effekt jämfört med 14nm-processen. Lika tvingande bör det sänka matriskostnaderna med cirka 30 procent till så mycket som 45 procent jämfört med föregående generation.

I denna del av färdplanen är GlobalFoundries på en liknande kurs jämfört med färdplanerna för konkurrerande fabs, som TSMC eller Samsung.

Men för andra applikationer fokuserar företaget på det det kallar FDX, dess varumärke för helt utarmad kisel-på-isolatorteknologi. Detta är en plan teknik, vilket innebär att den inte använder 3D-transistorer, och Patton sa att det ger en mer kostnadseffektiv lösning för lågprocessorer och mellanliggande mobilprocessorer, liksom processorer för Internet of Things och många fordon tillämpningar. Medan en del av forskningen för detta sker på Malta, är FDX-processen mestadels organiserad i Dresden, Tyskland. Det nuvarande arbetet med denna process är vad GlobalFoundries kallar sin 22nm FDX-nod; detta planeras för att gå till en 12nm-process nästa år.

Caulfield konstaterade att "en krympa räcker inte", och att för att gå till nästa nod måste GlobalFoundries också erbjuda mer prestanda och ge kunderna verkligt värde. Han noterade att företaget hoppade över 20 nm och vad andra kallar 10 nm för att fokusera på 7 nm och sa att denna nod erbjuder en 30 till 45 procent direkt kostnadsminskning jämfört med 14 nm, kompenseras något av behovet av fler masker för de ytterligare stegen som krävs av flera- mönstring.

Caulfield noterade att mer än hälften av företagets intäkter kvarstår på äldre processnoder, såsom 28 och 40 nm noder. Företagets Singapore-fabrik är inriktad på 40 nm och äldre processer, och Dresden tillverkar 22 nm och äldre. Samtidigt fokuserar allt på Malta på 14 nm och nyare processer.

På 7nm, sa Caulfield, vill företaget vara en "snabb följare", medan den är på FDX, vill det vara en "störande" faktor på marknaden.

Patton konstaterade att GlobalFoundries visade ett 7nm testchip 2015, som det utvecklade med partners IBM och Albany NanoTech Complex. Vid 5nm har företaget pratat om nanoskivor eller gate-all-around transistorer, och ett fokus på intra-modulkommunikation med 2, 5D- och 3D-chipförpackningar på kiselinterposer för att ansluta olika munstycken och hybridminnesbitar. Med sina partners demonstrerade det ett 5nm testchip förra året.

I åratal har jag varit imponerad av hur mycket chipindustrin har kunnat förbättra. Det är svårt att tänka på en annan bransch som har rört sig så långt och så snabbt - och arbetet av verktygstillverkare som ASML och fabs som GlobalFoundries är bara otroligt. De utmaningar som de möter när det gäller att förverkliga ännu snabbare chips och tätare design är mer och svårare, men mitt besök påminde mig om både komplexiteten i de banbrytande processerna och de framsteg som vi fortsätter att se.

Hur troligt är du att rekommendera PCMag.com?
Euvs löften och utmaningar på globala grundar